设计一个同步22进制计数器,用VHDL语言,
来源:学生作业帮 编辑:大师作文网作业帮 分类:综合作业 时间:2024/11/13 18:00:22
设计一个同步22进制计数器,用VHDL语言,
计数时,个位为10进制计数,十位为2进制计数,宾且个位计满9向十位进位,当个位=1,十位=2时,计数器 复位
计数时,个位为10进制计数,十位为2进制计数,宾且个位计满9向十位进位,当个位=1,十位=2时,计数器 复位
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity count_22 is
port(clk,reset:in std_logic;
ten_put:out std_logic_vector(1 downto 0);
one_put:out std_logic_vector(3 downto 0));
end entity;
architecture art of count_22 is
begin
process(clk,reset)
variable ten_data:std_logic_vector(1 downto 0);
variable one_data:std_logic_vector(3 downto 0);
begin
if reset='1' then
ten_data:="00";one_Data:="0000";
elsif clk'event and clk='1' then
if ten_data="10" then
if one_data="0010" then
ten_data:="00";
one_data:="0000";
else one_data:=one_data+'1';
end if;
elsif one_data="1001" then
ten_data:=ten_data+'1';
one_data:="0000";
else one_data:=one_data+'1';
end if;
end if;
end process;
end art;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity count_22 is
port(clk,reset:in std_logic;
ten_put:out std_logic_vector(1 downto 0);
one_put:out std_logic_vector(3 downto 0));
end entity;
architecture art of count_22 is
begin
process(clk,reset)
variable ten_data:std_logic_vector(1 downto 0);
variable one_data:std_logic_vector(3 downto 0);
begin
if reset='1' then
ten_data:="00";one_Data:="0000";
elsif clk'event and clk='1' then
if ten_data="10" then
if one_data="0010" then
ten_data:="00";
one_data:="0000";
else one_data:=one_data+'1';
end if;
elsif one_data="1001" then
ten_data:=ten_data+'1';
one_data:="0000";
else one_data:=one_data+'1';
end if;
end if;
end process;
end art;
用T触发器设计一个带进位标志的余3BCD码同步加法计数器.
怎样用74ls161设计一个24进制的计数器
求设计一个用74LS161组成的7进加法计数器。(分别用异步清零、同步置零、c置数法实现)电路图及步奏!
用74LS192设计任意进制计数器
跪求一个利用VHDL语言设计一个4位向量乘法器的程序
用ct74161采用异步置零法设计一个13进制的计数器 可以附加必要的门电路
如何用74ls161实现23进制计数器要用同步级联,反馈清零法
利用74161计数器构成一个2000进制计数器
用D触发器和153设计可控同步四进制可逆计数器
如何用与非门和74LS161设计一个60进制计数器?
数电实验:设计一个10进制计数器,起始值是21,利用74ls160
用Verilog HDL设计一个4位BCD码计数器